hp大圖輸出機的問題,透過圖書和論文來找解法和答案更準確安心。 我們找到下列包括價格和評價等資訊懶人包

hp大圖輸出機的問題,我們搜遍了碩博士論文和台灣出版的書籍,推薦大平信孝,橋本和惠寫的 成功領導與銷售術:不再等待指示、什麼都能賣光光(2冊一套) 和何賓的 Xilinx Zynq-7000嵌入式系統設計與實現:基於Arm Cortex-A9雙核處理器和Vivado的設計方法(第二版)都 可以從中找到所需的評價。

另外網站HP DesignJet T530 - 維創科技股份有限公司也說明:首頁 · 建築工程繪圖機; HP DesignJet T530. HP DesignJet T530. 熱感噴墨| 不支援Linux 作業系統. 噴墨大圖機. 詳細介紹; 軟體及驅動程式. 詳細介紹 ...

這兩本書分別來自好優文化 和電子工業所出版 。

國立臺灣科技大學 機械工程系 林清安所指導 賴以衛的 以3D深度學習及點雲匹配技術進行機械手臂自動化複雜零件分類 (2021),提出hp大圖輸出機關鍵因素是什麼,來自於3D CAD、點資料處理、深度學習、隨機取放、機械手臂。

而第二篇論文明志科技大學 電子工程系碩士班 陳華彬所指導 林奕鈞的 以OTA設計之多功能可調電壓模式二階濾波器與振盪器 (2021),提出因為有 電壓模式濾波器、運算轉導放大器、單輸出端運算轉導放大器、正交正弦振盪器的重點而找出了 hp大圖輸出機的解答。

最後網站search:hp大圖輸出機相關網頁資料 - 資訊書籤則補充:了解hp大圖輸出機知識都與大圖輸出機買賣,epson大圖輸出機,大圖輸出機價格密切關係,如果您看不到上方圖形請按這裏,最近各大知名列印品牌自家各級產品紛紛出籠, ...

接下來讓我們看這些論文和書籍都說些什麼吧:

除了hp大圖輸出機,大家也想知道這些:

成功領導與銷售術:不再等待指示、什麼都能賣光光(2冊一套)

為了解決hp大圖輸出機的問題,作者大平信孝,橋本和惠 這樣論述:

本書簡介 帶領過上萬主管階層人士從事領導再造的大平信孝 將運用「阿德勒管理戰術」 把部屬改造成能獨立思考、主動出擊的人才! & 日本的銷售達人橋本和惠告訴你, 銷售,不是天分,而是可以努力學習累積的技巧。 透過橋本方程式,菜鳥也能成功銷售, 創造驚人業績!   ★如果我的下屬不積極?!   大平信孝說:如果下屬一副很被動的樣子,其實是缺乏工作動機,甚至因為工作技能不足所致。請記得利用「行為改革面談」和「成長五步驟」,就可以讓他們有驚人的進步。   ★什麼是「行為改革面談」?   大平信孝說:經由確認立足點、公司目標與部屬的角色;之後繼續確認個人的目標與夢想並完成部屬自己的目標設定、從而

擬定十秒行動計畫。這種協助擬定目標並落實的方法,即可概括為行為改革面談。   ★「成長五步驟」又是什麼?   Mr. 大平:它是先把所有工作依「雜務」和「技能」進行分類後,再分別為部屬進行不同訓練,直到部屬對該業務精熟到足以教導別人的程度。   ✦化解上級與下屬間的想法落差,讓部屬成為能主動出擊的戰將!   運用行為改革面談與成長五步驟,部屬工作技能。循序漸進地與下屬互動,能獲得意想不到的好效果。   ✦行為改造大師分享,每個人在職場上不再出錯。   將作者多年經驗融入對下屬的日常相處理,不用多走冤枉路,以最短距離、最速時間減輕自己的工作負擔。   ✦化被動為主動,讓下屬成為自己的堅實

後盾   關心下屬的興趣焦點,從而找出雙方的共同點,最後認同下屬。這是讓部屬從被動化為主動的祕密武器。   不景氣時代,創造銷售奇蹟的基礎銷售力訓練法則!   在銷售中,只有「賣光光的思維」和「賣不動的思維」!   在銷售的煩惱中,「不知如何接近客人」可說排行第一!   能把商品交到客人手中的,只有身為銷售員的你!    把自己的價值觀去掉」才能容納客人的想法!   只有累積銷售成功經驗,才能在銷售競爭中生存下來!   「什麼都能賣光光」的超級銷售員橋本和惠,為您歸納六大基礎銷售力   ★連背對顧客,都能賣東西!?   因為當顧客走進店裡的時候,他有可能只是想要看看,也有可能本來就愈想要消

費。此時,其實不用硬是靠過去介紹或促銷,最好能夠延續手上的工作(例如整理貨品、整理單據),一邊跟客戶打招呼。此時,即使是背對客戶也不要緊。因為少了緊迫盯人/有人伺機而動的感覺,客戶更能夠放心選購商品,我們也能進一步達到「留下客人」的初步目標。   ★只要看得懂客人的肢體語言,上前推銷也不會被拒絕   近身推銷當然有效果!但若能夠掌握顧客的肢體語言,便更能夠掌握上前推銷的時機。橋本和惠的獨家撇步是:不妨就在顧客身邊整理貨架(但切忌盯著客人的動作看),一邊悄悄留心顧客的動態。若顧客的眼神移往銷售員,則表示需要說明獲推薦。這個時候若上前說明產品或推推薦產品,不但不會嚇跑客人,也能夠提升銷售成功的機

率,有效提升業績!   ★切忌:不要用自己的荷包去評估客人的荷包   覺得產品定價不合理,很難推薦給客人嗎?或是當客人當面嫌棄「這個好貴!」的時候,你就立刻辭窮了呢?切記:「貴」與「便宜」都是我們的主觀認知。銷售時,應該要以客人的立場為立場,而非以我們的主觀認知去猜顧客的價值觀與需求。加上對產品價值的充分了解,才能讓客戶體察到產品的價值,也不會因為我們的主觀認知而錯失銷售的機會。

hp大圖輸出機進入發燒排行的影片

R12三件裝升裝推薦

【卡R9疑慮系列】
→前衛區
※真琴(月月)
關於月月的部分,其實最終還是卡在R11滿會比較穩
我原本的預想是因為R12比較軟,也許可以補回那3點的TP上升
但是看來大多數的狀況還是R11比較能跟上其他角色的UB,例如病嬌之類的
所以還是卡在R11、跟卡在R9,這樣會比較好喔

就是跟上個月一樣,聯盟內有些人R9、有些人R11的配置

※紡希(紡織)
R9跟R12的TP上升少17,超級多
PVP能先拉通常還是會比較好,加上省點裝所以保留R9

※綾音(熊槌)
之前我說要卡R9是因為TP上升的關係
但是現在開R12三件之後,可以直上R12沒問題
不過這隻出場率不高,也可以不升省點裝備就好

→中衛區
※萬聖宮子(萬聖布丁、狼布丁)
保留R9就可以了,原因跟紡希類似


【R11六件裝系列】
→前衛區
※宮子(布丁)
跟著迴避走的坦克,R12迴避跟回復量都會少,不升

※純(黑騎)
上升最多的是攻擊力,其他很多都下降,不升

※怜(新年版)
TP上升會少,PVP取向所以不升

※佩可(飯糰)
回復量少太多,保留R11

※流夏(大姐頭)
同佩可

※望(偶像)
同流夏

※秋乃(大小姐)
這隻應該很少上場了,數值也幾乎沒提升,不升

※泳裝佩可(泳飯、泳佩)
TP上升會少,加上其他提升不算非常多,保留R11

※吉塔(騎空團團長、全空最騷)
TP上升會少,先卡R11,而且這個月應該還不會用到
專武預計台版8月才會出,慢慢農

※靜流(姊姊)
回復量會少,卡R11就可以了

※克莉絲提娜(克總、女帝)
TP上升跟吸血會少,卡R11

※聖誕胡桃(聖誕鈴鐺)
回復量跟TP上升都會少,卡R11就好
反正這隻也不太會上場

→中衛區
※忍(普通版)
TP上升會少,保留R11

※優花梨(酒鬼、我老婆)
回復量會少,保留R11

※萬聖忍
萬聖忍在PVP的地位有一部分就是命中來的,超級高的命中
讓萬聖忍砍布丁也不會miss,加上其他數值都差距不大,卡R11

※可可蘿
回復量少太多,保留R11就可以了

※泳裝可可蘿(泳可、河)R10
現在要卡R10的角色很少了,但是泳可就是其中一個
上R11的話TP上升會少,還是先卡R10就好

※鈴(松鼠)
命中以外都沒什麼提升,松鼠主要還是buff用
留在R10或R11應該都可以,差距不大,只是R10 TP上升多3

※茜里(妹法、雙子妹、惡魔妹妹)
TP上升跟TP減輕都會少,沒什麼必要上R12,保留R11即可

※依里(姊法、雙子姊、惡魔姊姊)
TP上升會少,雖然TP減輕會多,但是依里主要會出場還是PVP
我覺得PVP第一發的速度比較重要

→後衛區
※伊緒(老師)
主要用途還是UB的魅惑,所以TP系列都很重要,不升

※鈴莓(女僕)
這隻目前也沒人用吧,隨便就好

※美里(聖母)
基本上就是R11第一發UB會比較快、R12第二發開始會比較快
還是會看BOSS,保留R11會比較保險

※七七香(七香、773)
TP減輕會少,保留R11就可以了

※新年優依
同美里,先保留R11會比較保險

※初音(星星法)
數據差距不大,加上TP減輕會少,保留R11即可

※聖誕千歌
同美里,不過出場率應該不算相當高

※泳裝鈴莓(泳裝女僕)
同美里,可是這隻出場率更低了,練的優先度很低

※千歌(普通版)
同美里,但出場率也低

※真步(咕嚕靈波)
升上去補量會少,還是保留R11就好了

※優依(普通版)
R11是補量會比較多,R12三件是UB速度會比較快
下一次用到沒意外是EX2地下城,可以先保留R11觀望

※萬聖美咲(萬聖眼睛、萬聖版ㄌㄌ老婆)
TP方面數據都會下降,保留R11即可

【R12三件裝系列】
→前衛區
※莉瑪(羊駝)
雙防與迴避上升,扣的項目很多但都不太重要
不過差距不大可以不急著升

※空花(斗M)
TP上升增加、雙防血量增加
雖然回復量下降,但UB更快效益更好

※香織(狗拳)
輸出面數值皆上升,坦度略降但是我覺得降很少應該不太會影響
會擔心坦不住可以考慮R11觀望

※矛依未(聖劍、511)→日文念法音近511
攻擊面與TP上升都增加不少,到時候就直上就對了

※日和(新年)
輸出面提升、坦度微降,但是又不會拿新年日和坦,我覺得就升吧

※茉莉(提摩、跳跳虎)→提摩是我在叫的,一般叫他跳跳虎
專武後UB+小招在PVP威脅性還不錯,R12多TP上升可升

※綾音聖誕版(聖誕熊槌)
坦度略升、攻擊力略升,重點是爆率下降,自爆率下降

※日和(普通版)
之前我的推薦是卡R10,這波可以直上R12,攻擊力提升還不錯
但如果你本來就R11,其實也可以卡R11六件,差距不大

※褉(炸彈)
這隻角色你應該不會用到啦,真的很愛的話R12是數值比較好的

※珠希(貓賊、貓劍)
命中提升不少,作為PVP會用到的角色,可以升
只是現在出場率也不高了,缺裝可以緩點

※智
物爆與TP上升都增加,升爆

※泳裝珠希(冰棒貓、泳裝貓賊)
數值提升大多不錯,可以升,TP上升也有增加

※惠理子(病嬌)
老實說有一點微妙,升R12的實際HP吸收量大概會多20~30%上下
爆率有下降但是只少0.1%左右(看敵人等級,打同等爆率約為15%多)
我會選擇升,防禦力下降個位數的差距也很小,但吸血多2差距不小

※胡桃(鈴鐺)
TP上升增加、大部分數值上升,可以升R12
但是差距不大,加上這隻角色不常登場,頂多PVP用,缺裝可以緩緩

※怜(普通版)
理論上來說應該是R12比較好,坦度降低+TP上升增加,有機會多出一發UB
但是爆率下降,具體來說少1%左右,實際傷害誰高應該要看打什麼王
差距不會太大,方便抄作業就好了,我應該會上R12

→中衛區
※美美(兔子劍)
TP上升增加不少,PVP角的吸血也相對沒那麼重要,可上
不過專武前大概也不太會出場,台版預計9月出專武

※情人節靜流(情姊)
TP上升增加,升爆

※真陽(牛妹)
出場率不高,不過TP上升跟命中增加
你如果PVP有在用的話可以上R12

※莫妮卡(毛二力、軍女)
TP上升增加還不錯,畢竟打PVP莫妮卡還是會有一點點輸出
防禦也有增加一點點,可以上R12

※尼諾(扇子)
輸出面以及命中都有提升,對於PVP來說蠻好的,可升

※美冬(普通版)
這隻應該很少用到,上R12是TP上升增加所以UB比較快
留在R11是回復量比較多自補比較多,如果你會用到的話看你想要哪個

※伊莉亞(吸血鬼)
越升越夢想,爆率越來越高、期望傷害越來越高
而且R12多TP減輕,理論上可以增加第二次之後UB的速度

※咲戀(媽媽、充電寶)
TP上升、命中都提升,而且都提升不少,可以直上
不過現在主要出場就PVP而已,偶爾會用

※杏奈(中二)
魔攻魔爆都提升,升上去應該是相當不錯的,防禦減少的量也很少
應該不太會有影響

※泳裝美冬
以輸出角來說是R12會比較好,物攻物爆都有提升
可是現在到底有誰會用這隻,除非老婆是美冬我想不到用他的理由

※深月(斗S)
TP上升增加不少,加上命中增加,PVP出場率很高,命中很好

→後衛區
※亞里莎(瞎弓)
弓手這波基本上都要升,R12弓手終於給吸血,相當重要

※璃乃(妹弓)
同亞里莎,但是妹弓現在很少用了

※鈴奈(爆弓)
同亞里莎,但是爆弓專武前也很少用,專武後不確定會怎麼樣
日版目前(2019/6/16)也還沒出爆弓專武

※栞(病弱弓)
同亞里莎,台版預計7月的專武會開小栞專武,到時候會用到

※凱留(黑貓、不良貓)
輸出面還有TP減輕都是R12三件比較好,可升

※美咲(眼睛、ㄌㄌ老婆)
TP減輕會增加,可升,不過這隻最快也是專武後才會用到,PVP用途
台版預計9月專武會推出

※泳裝凱留(泳黑、泳圈)
攻擊面以及TP減輕都增加,升上去對於輸出提升有幫助,可升

※碧(香菜弓、邊緣弓)
這隻主要還是PVP用途,升上去提升命中還蠻好的
專武預計台版7月推出,在PVP稍微還可以用一下

※小雪(鏡子、我老公)
TP部分有同美里的狀況,但是小雪UB不太重要
升上去坦度會略微提升一點點

※鏡華(八歲、噴水蘿)
同泳黑

【數據變化詳細】
https://forum.gamer.com.tw/C.php?bsn=30861&snA=13274&tnum=1

【Gamplex】
iOS:https://gamplex.pse.is/E8EE4
Android:https://gamplex.pse.is/E7XZ7
搜尋emonight,每周二四晚上更新公主連結相關日常、其餘時間不定時更新其他遊戲日常
在上面發文我有看到也會回應或按讚喔!

【圖片是前作】
https://forum.gamer.com.tw/C.php?bsn=27518&snA=13

【使用音樂】
https://www.youtube.com/watch?v=113kpKgE7Z4

【關於】
觀眾閒聊用Discord群組:
https://discord.gg/AjJQF4M

天天講廢話的噗浪:
https://www.plurk.com/emon851130

以3D深度學習及點雲匹配技術進行機械手臂自動化複雜零件分類

為了解決hp大圖輸出機的問題,作者賴以衛 這樣論述:

以機械手臂進行零件分類是自動化生產線的主要工作之一,利用結構光掃描器搭配AI深度學習及點雲匹配技術,可快速辨識產線上各個零件的類型,並自動計算每個零件的拾取資訊,然而,隨著零件類型、數量及幾何複雜度的提升,深度學習的數據準備作業將耗費大量時間,且以越複雜的零件進行點雲匹配時,其匹配的誤差也會隨之增加。為克服此等問題,本論文以點資料處理技術對零件的點雲進行處理,改善數據準備耗時及點雲匹配誤差的問題,據以開發一套「複雜零件隨機夾取/分類系統」,達到自動化零件分類之目的。本論文透過對零件之掃描點雲進行一系列濾波、分割及資料集擴增處理,由少量掃描點雲自動化產生大量點雲資料集,藉以進行深度學習的訓練,

於自動化作業現場快速判別零件種類;接著以RANSAC搭配ICP法進行零件的3D CAD模型與其掃描點雲的精準匹配,將事先分析CAD模型所產生的夾取資訊轉換為零件實際擺放的夾取資訊,並依零件辨識結果及其座標轉換,以機械手臂完成零件的夾取與分類。本論文除了詳述如何以點資料處理技術建構深度學習辨識模型及達到點雲之精準匹配,也簡述如何以3D CAD模型求取零件夾取資訊,最終以多種不同幾何特性的複雜零件驗證所提方法的可行性及所開發系統的實用性。

Xilinx Zynq-7000嵌入式系統設計與實現:基於Arm Cortex-A9雙核處理器和Vivado的設計方法(第二版)

為了解決hp大圖輸出機的問題,作者何賓 這樣論述:

本書是作者在已經出版的《Xilinx Zynq-7000嵌入式系統設計與實現:基於ARM Cortex-A9雙核處理器和Vivado的設計方法》一書的基礎上進行修訂而成的。   本書新修訂後內容增加到30章。修訂後,本書的一大特色就是加入了Arm架構及分類、使用PetaLinux工具在Zynq-7000 SoC上搭建Ubuntu作業系統,以及在Ubuntu作業系統環境下搭建Python語言開發環境,並使用Python語言開發應用程式的內容。   本書修訂後。進一步降低了讀者學習Arm Cortex-A9嵌入式系統的門檻,並引入了在Zynq-7000 SoC上搭建Ubuntu作業系統的新方法。此

外,將流行的Python語言引入到Arm嵌入式系統中,進一步拓寬了在Arm嵌入式系統上開發應用程式的方法。 第1章 Zynq - 7000 SoC設計導論 1 1.1 全可程式設計片上系統基礎知識 1 1.1.1 全可程式設計片上系統的演進 1 1.1.2 SoC與MCU和CPU的比較 3 1.1.3 全可程式設計SoC誕生的背景 4 1.1.4 可程式設計SoC系統技術特點 5 1.1.5 全可程式設計片上系統中的處理器類型 5 1.2 Arm架構及分類 6 1.2.1 M - Profile 7 1.2.2 R - Profile 9 1.2.3 A - Profile

10 1.3 Zynq - 7000 SoC功能和結構 11 1.3.1 Zynq - 7000 SoC產品分類及資源 12 1.3.2 Zynq - 7000 SoC的功能 12 1.3.3 Zynq - 7000 SoC處理系統PS的構成 14 1.3.4 Zynq - 7000 SoC可程式設計邏輯PL的構成 19 1.3.5 Zynq - 7000 SoC內的互聯結構 20 1.3.6 Zynq - 7000 SoC的供電引腳 22 1.3.7 Zynq - 7000 SoC內MIO到EMIO的連接 23 1.3.8 Zynq - 7000 SoC內為PL分配的信號 28 1.4 Z

ynq - 7000 SoC在嵌入式系統中的優勢 30 1.4.1 使用PL實現軟體演算法 30 1.4.2 降低功耗 32 1.4.3 即時減負 33 1.4.4 可重配置計算 34 第2章 AMBA規範 35 2.1 AMBA規範及發展 35 2.1.1 AMBA 1 36 2.1.2 AMBA 2 36 2.1.3 AMBA 3 36 2.1.4 AMBA 4 37 2.1.5 AMBA 5 38 2.2 AMBA APB規範 40 2.2.1 AMBA APB寫傳輸 40 2.2.2 AMBA APB讀傳輸 42 2.2.3 AMBA APB錯誤回應 43 2.2.4 操作狀態 44

2.2.5 AMBA 3 APB信號 44 2.3 AMBA AHB規範 45 2.3.1 AMBA AHB結構 45 2.3.2 AMBA AHB操作 46 2.3.3 AMBA AHB傳輸類型 48 2.3.4 AMBA AHB猝發操作 50 2.3.5 AMBA AHB傳輸控制信號 53 2.3.6 AMBA AHB位址解碼 54 2.3.7 AMBA AHB從設備傳輸回應 55 2.3.8 AMBA AHB資料匯流排 58 2.3.9 AMBA AHB傳輸仲裁 59 2.3.10 AMBA AHB分割傳輸 64 2.3.11 AMBA AHB復位 67 2.3.12 關於AHB資料匯

流排的位元寬 67 2.3.13 AMBA AHB周邊設備 68 2.4 AMBA AXI4規範 69 2.4.1 AMBA AXI4概述 69 2.4.2 AMBA AXI4功能 70 2.4.3 AMBA AXI4互聯結構 78 2.4.4 AXI4 - Lite功能 79 2.4.5 AXI4 - Stream功能 80 第3章 Zynq - 7000系統公共資源及特性 83 3.1 時鐘子系統 83 3.1.1 時鐘子系統架構 83 3.1.2 CPU時鐘域 84 3.1.3 時鐘程式設計實例 86 3.1.4 時鐘子系統內的生成電路結構 87 3.2 復位子系統 91 3.2.1

重定子系統結構和層次 92 3.2.2 重定流程 93 3.2.3 復位的結果 94 第4章 Zynq調試和測試子系統 95 4.1 JTAG和DAP子系統 95 4.1.1 JTAG和DAP子系統功能 97 4.1.2 JTAG和DAP子系統I/O信號 99 4.1.3 程式設計模型 99 4.1.4 Arm DAP控制器 101 4.1.5 跟蹤埠介面單元(TPIU) 102 4.1.6 Xilinx TAP控制器 102 4.2 CoreSight系統結構及功能 103 4.2.1 CoreSight結構概述 103 4.2.2 CoreSight系統功能 104 第5章 Corte

x - A9處理器及指令集 107 5.1 應用處理單元概述 107 5.1.1 基本功能 107 5.1.2 系統級視圖 108 5.2 Cortex - A9處理器結構 110 5.2.1 處理器模式 111 5.2.2 寄存器 113 5.2.3 流水線 118 5.2.4 分支預測 118 5.2.5 指令和資料對齊 119 5.2.6 跟蹤和調試 121 5.3 Cortex - A9處理器指令集 122 5.3.1 指令集基礎 122 5.3.2 資料處理操作 125 5.3.3 記憶體指令 130 5.3.4 分支 131 5.3.5 飽和算術 133 5.3.6 雜項指令 13

4 第6章 Cortex - A9片上記憶體系統結構和功能 138 6.1 L1快取記憶體 138 6.1.1 快取記憶體背景 138 6.1.2 快取記憶體的優勢和問題 139 6.1.3 記憶體層次 140 6.1.4 快取記憶體結構 140 6.1.5 緩存策略 145 6.1.6 寫和取緩衝區 147 6.1.7 緩存性能和命中速度 147 6.1.8 無效和清除緩存 147 6.1.9 一致性點和統一性點 149 6.1.10 Zynq - 7000中Cortex - A9 L1快取記憶體的特性 151 6.2 記憶體順序 153 6.2.1 普通、設備和強順序記憶體模型 154

6.2.2 記憶體屬性 155 6.2.3 記憶體屏障 155 6.3 記憶體管理單元 159 6.3.1 MMU功能描述 160 6.3.2 虛擬記憶體 161 6.3.3 轉換表 162 6.3.4 頁表入口域的描述 165 6.3.5 TLB構成 167 6.3.6 記憶體訪問順序 169 6.4 偵聽控制單元 170 6.4.1 地址過濾 171 6.4.2 SCU主設備埠 171 6.5 L2快取記憶體 171 6.5.1 互斥L2 - L1快取記憶體配置 173 6.5.2 快取記憶體替換策略 174 6.5.3 快取記憶體鎖定 174 6.5.4 使能/禁止L2快取記憶體控制器

176 6.5.5 RAM訪問延遲控制 176 6.5.6 保存緩衝區操作 176 6.5.7 在Cortex - A9和L2控制器之間的優化 177 6.5.8 預取操作 178 6.5.9 程式設計模型 179 6.6 片上記憶體 180 6.6.1 片上記憶體概述 180 6.6.2 片上記憶體功能 181 6.7 系統位址分配 186 6.7.1 位址映射 186 6.7.2 系統匯流排主設備 188 6.7.3 I/O外設 188 6.7.4 SMC記憶體 188 6.7.5 SLCR寄存器 188 6.7.6 雜項PS寄存器 189 6.7.7 CPU私有寄存器 189 第7章

Zynq - 7000 SoC的Vivado基本設計流程 190 7.1 創建新的工程 190 7.2 使用IP集成器創建處理器系統 192 7.3 生成頂層HDL並匯出設計到SDK 197 7.4 創建應用測試程式 199 7.5 設計驗證 202 7.5.1 驗證前的硬體平臺準備 202 7.5.2 設計驗證的具體實現 203 7.6 SDK調試工具的使用 205 7.6.1 打開前面的設計工程 205 7.6.2 導入工程到SDK 205 7.6.3 建立新的記憶體測試工程 205 7.6.4 運行記憶體測試工程 206 7.6.5 調試記憶體測試工程 207 7.7 SDK性能分析工具

209 第8章 Arm GPIO的原理和控制實現 213 8.1 GPIO模組原理 213 8.1.1 GPIO介面及功能 214 8.1.2 GPIO程式設計流程 217 8.1.3 I/O介面 218 8.1.4 部分寄存器說明 218 8.1.5 底層讀/寫函數說明 220 8.1.6 GPIO的API函數說明 220 8.2 Vivado環境下MIO讀/寫控制的實現 221 8.2.1 調用底層讀/寫函數編寫GPIO應用程式 221 8.2.2 調用API函數編寫控制GPIO應用程式 224 8.3 Vivado環境下EMIO讀/寫控制的實現 226 8.3.1 調用底層讀/寫函數

編寫GPIO應用程式 227 8.3.2 調用API函數編寫控制GPIO應用程式 232 第9章 Cortex - A9異常與中斷原理及實現 236 9.1 異常原理 236 9.1.1 異常類型 237 9.1.2 異常處理 241 9.1.3 其他異常控制碼 242 9.1.4 Linux異常程式流 243 9.2 中斷原理 244 9.2.1 外部插斷要求 244 9.2.2 Zynq - 7000 SoC內的中斷環境 247 9.2.3 中斷控制器的功能 248 9.3 Vivado環境下中斷系統的實現 252 9.3.1 Cortex - A9處理器中斷及異常初始化流程 252 9

.3.2 Cortex - A9 GPIO控制器初始化流程 252 9.3.3 匯出硬體設計到SDK 253 9.3.4 創建新的應用工程 253 9.3.5 運行應用工程 256 第10章 Cortex - A9計時器原理及實現 257 10.1 計時器系統架構 257 10.1.1 CPU私有計時器和看門狗計時器 257 10.1.2 全域計時器/計數器 258 10.1.3 系統級看門狗計時器 259 10.1.4 3重計時器/計數器 261 10.1.5 I/O信號 264 10.2 Vivado環境下計時器的控制實現 264 10.2.1 打開前面的設計工程 265 10.2.2

創建SDK軟體工程 265 10.2.3 運行軟體應用工程 267 第11章 Cortex - A9 DMA控制器原理及實現 268 11.1 DMA控制器架構 268 11.2 DMA控制器功能 271 11.2.1 考慮AXI交易的因素 272 11.2.2 DMA管理器 273 11.2.3 多通道資料FIFO(MFIFO) 274 11.2.4 記憶體―記憶體交易 274 11.2.5 PL外設AXI交易 274 11.2.6 PL外設請求介面 275 11.2.7 PL外設長度管理 276 11.2.8 DMAC長度管理 277 11.2.9 事件和中斷 278 11.2.10 異

常終止 278 11.2.11 安全性 280 11.2.12 IP配置選項 282 11.3 DMA控制器程式設計指南 282 11.3.1 啟動控制器 282 11.3.2 執行DMA傳輸 282 11.3.3 插斷服務常式 282 11.3.4 寄存器描述 283 11.4 DMA引擎程式設計指南 284 11.4.1 寫微代碼程式設計用於AXI交易的CCRx 284 11.4.2 記憶體到記憶體傳輸 284 11.4.3 PL外設DMA傳輸長度管理 287 11.4.4 使用一個事件重新啟動DMA通道 289 11.4.5 中斷一個處理器 289 11.4.6 指令集參考 290 11

.5 程式設計限制 291 11.6 系統功能之控制器重定配置 292 11.7 I/O介面 293 11.7.1 AXI主介面 293 11.7.2 外設請求介面 293 11.8 Vivado環境下DMA傳輸的實現 294 11.8.1 DMA控制器初始化流程 295 11.8.2 中斷控制器初始化流程 295 11.8.3 中斷服務控制碼處理流程 296 11.8.4 匯出硬體設計到SDK 296 11.8.5 創建新的應用工程 297 11.8.6 運行軟體應用工程 303 第12章 Cortex - A9安全性擴展 305 12.1 TrustZone硬體架構 305 12.1.1

多核系統的安全性擴展 307 12.1.2 普通世界和安全世界的交互 307 12.2 Zynq - 7000 APU內的TrustZone 308 12.2.1 CPU安全過渡 309 12.2.2 CP15寄存器存取控制 310 12.2.3 MMU安全性 310 12.2.4 L1緩存安全性 311 12.2.5 安全異常控制 311 12.2.6 CPU調試TrustZone存取控制 311 12.2.7 SCU寄存器存取控制 312 12.2.8 L2緩存中的TrustZone支持 312 第13章 Cortex - A9 NEON原理及實現 313 13.1 SIMD 313

13.2 NEON架構 315 13.2.1 與VFP的共性 315 13.2.2 資料類型 316 13.2.3 NEON寄存器 316 13.2.4 NEON指令集 318 13.3 NEON C編譯器和彙編器 319 13.3.1 向量化 319 13.3.2 檢測NEON 319 13.4 NEON優化庫 320 13.5 SDK工具提供的優化選項 321 13.6 使用NEON內聯函數 324 13.6.1 NEON資料類型 325 13.6.2 NEON內聯函數 325 13.7 優化NEON彙編器代碼 327 13.8 提高記憶體訪問效率 328 13.9 自動向量化實現 329

13.9.1 匯出硬體設計到SDK 329 13.9.2 創建新的應用工程 330 13.9.3 運行軟體應用工程 331 13.10 NEON彙編代碼實現 331 13.10.1 匯出硬體設計到SDK 331 13.10.2 創建新的應用工程 332 13.10.3 運行軟體應用工程 333 第14章 Cortex - A9外設模組結構及功能 334 14.1 DDR記憶體控制器 334 14.1.1 DDR記憶體控制器介面及功能 335 14.1.2 AXI記憶體介面 337 14.1.3 DDR核和交易調度器 338 14.1.4 DDRC仲裁 338 14.1.5 DDR記憶體控制

器PHY 340 14.1.6 DDR初始化和標定 340 14.1.7 改錯碼 341 14.2 靜態記憶體控制器 342 14.2.1 靜態記憶體控制器介面及功能 343 14.2.2 靜態記憶體控制器和記憶體的信號連接 344 14.3 四 - SPI Flash控制器 345 14.3.1 四 - SPI Flash控制器功能 347 14.3.2 四 - SPI Flash控制器回饋時鐘 349 14.3.3 四 - SPI Flash控制器介面 349 14.4 SD/SDIO外設控制器 351 14.4.1 SD/SDIO控制器功能 352 14.4.2 SD/SDIO控制器傳輸

協議 353 14.4.3 SD/SDIO控制器埠信號連接 356 14.5 USB主機、設備和OTG控制器 356 14.5.1 USB控制器介面及功能 358 14.5.2 USB主機操作模式 361 14.5.3 USB設備操作模式 363 14.5.4 USB OTG操作模式 365 14.6 吉比特乙太網控制器 365 14.6.1 吉比特乙太網控制器介面及功能 367 14.6.2 吉比特乙太網控制器介面程式設計嚮導 368 14.6.3 吉比特乙太網控制器介面信號連接 372 14.7 SPI控制器 373 14.7.1 SPI控制器的介面及功能 374 14.7.2 SPI控制

器時鐘設置規則 376 14.8 CAN控制器 376 14.8.1 CAN控制器介面及功能 377 14.8.2 CAN控制器操作模式 379 14.8.3 CAN控制器消息保存 380 14.8.4 CAN控制器接收篩檢程式 381 14.8.5 CAN控制器程式設計模型 382 14.9 UART控制器 383 14.10 I2C控制器 387 14.10.1 I2C速度控制邏輯 388 14.10.2 I2C控制器的功能和工作模式 388 14.11 XADC轉換器介面 390 14.11.1 XADC轉換器介面及功能 391 14.11.2 XADC命令格式 392 14.11.3

供電感測器報警 392 14.12 PCI - E介面 393 第15章 Zynq - 7000內的可程式設計邏輯資源 395 15.1 可程式設計邏輯資源概述 395 15.2 可程式設計邏輯資源功能 396 15.2.1 CLB、Slice和LUT 396 15.2.2 時鐘管理 396 15.2.3 塊RAM 398 15.2.4 數位信號處理 - DSP Slice 398 15.2.5 輸入/輸出 399 15.2.6 低功耗串列收發器 400 15.2.7 PCI - E模組 401 15.2.8 XADC(類比 - 數位轉換器) 402 15.2.9 配置 402 第16章

Zynq - 7000內的互聯結構 404 16.1 系統互聯架構 404 16.1.1 互聯模組及功能 404 16.1.2 資料路徑 406 16.1.3 時鐘域 407 16.1.4 連線性 408 16.1.5 AXI ID 409 16.1.6 寄存器概述 409 16.2 服務品質 410 16.2.1 基本仲裁 410 16.2.2 不錯QoS 410 16.2.3 DDR埠仲裁 411 16.3 AXI_HP介面 411 16.3.1 AXI_HP介面結構及特點 411 16.3.2 介面資料寬度 415 16.3.3 交易類型 416 16.3.4 命令交替和重新排序 416

16.3.5 性能優化總結 416 16.4 AXI_ACP介面 417 16.5 AXI_GP介面 418 16.6 AXI信號總結 418 16.7 PL介面選擇 422 16.7.1 使用通用主設備埠的Cortex - A9 423 16.7.2 通過通用主設備的PS DMA控制器(DMAC) 423 16.7.3 通過高性能介面的PL DMA 426 16.7.4 通過AXI ACP的PL DMA 426 16.7.5 通過通用AXI從(GP)的PL DMA 426 第17章 Zynq - 7000 SoC內定制簡單AXI - Lite IP 429 17.1 設計原理 429 1

7.2 定制AXI - Lite IP 429 17.2.1 創建定制IP範本 429 17.2.2 修改定制IP設計範本 432 17.2.3 使用IP封裝器封裝外設 436 17.3 打開並添加IP到設計中 440 17.3.1 打開工程和修改設置 440 17.3.2 添加定制IP到設計 442 17.3.3 添加XDC約束檔 445 17.4 匯出硬體到SDK 446 17.5 建立和驗證軟體應用工程 446 17.5.1 建立應用工程 447 17.5.2 下載硬體位元流檔到FPGA 449 17.5.3 運行應用工程 450 第18章 Zynq - 7000 SoC內定制複雜AX

I Lite IP 451 18.1 設計原理 451 18.1.1 VGA IP核的設計原理 451 18.1.2 移位暫存器IP核的設計原理 453 18.2 定制VGA IP核 454 18.2.1 創建定制VGA IP範本 454 18.2.2 修改定制VGA IP範本 455 18.2.3 使用IP封裝器封裝VGA IP 459 18.3 定制移位暫存器IP核 460 18.3.1 創建定制SHIFTER IP範本 460 18.3.2 修改定制SHIFTER IP範本 462 18.3.3 使用IP封裝器封裝SHIFTER IP 463 18.4 打開並添加IP到設計中 464 1

8.4.1 打開工程和修改設置 464 18.4.2 添加定制IP到設計 466 18.4.3 添加XDC約束檔 470 18.5 匯出硬體到SDK 471 18.6 建立和驗證軟體工程 472 18.6.1 建立應用工程 472 18.6.2 下載硬體位元流檔到FPGA 476 18.6.3 運行應用工程 477 第19章 Zynq - 7000 AXI HP資料傳輸原理及實現 478 19.1 設計原理 478 19.2 構建硬體系統 479 19.2.1 打開工程和修改設置 479 19.2.2 添加並連接AXI DMA IP核 480 19.2.3 添加並連接FIFO IP核 482

19.2.4 連接DMA中斷到PS 485 19.2.5 驗證和建立設計 487 19.3 建立和驗證軟體工程 487 19.3.1 匯出硬體到SDK 488 19.3.2 創建軟體應用工程 488 19.3.3 下載硬體位元流檔到FPGA 497 19.3.4 運行應用工程 497 第20章 Zynq - 7000 ACP資料傳輸原理及實現 499 20.1 設計原理 499 20.2 打開前面的設計工程 499 20.3 配置PS埠 499 20.4 添加並連接IP到設計 500 20.4.1 添加IP到設計 501 20.4.2 系統連接 501 20.4.3 分配位址空間 502

20.5 使用SDK設計和實現應用工程 504 20.5.1 創建新的軟體應用工程 504 20.5.2 導入應用程式 504 20.5.3 下載硬體位元流檔到FPGA 507 20.5.4 運行應用工程 508 第21章 Zynq - 7000軟體和硬體協同調試原理及實現 509 21.1 設計目標 509 21.2 ILA核原理 510 21.2.1 ILA觸發器輸入邏輯 510 21.2.2 多觸發器埠的使用 510 21.2.3 使用觸發器和存儲限制條件 510 21.2.4 ILA觸發器輸出邏輯 512 21.2.5 ILA資料捕獲邏輯 512 21.2.6 ILA控制與狀態邏輯

513 21.3 VIO核原理 513 21.4 構建協同調試硬體系統 514 21.4.1 打開前面的設計工程 514 21.4.2 添加定制IP 514 21.4.3 添加ILA和VIO核 515 21.4.4 標記和分配調試網路 516 21.5 生成軟體工程 518 21.6 S/H協同調試 520 第22章 Zynq - 7000 SoC啟動和配置原理及實現 527 22.1 Zynq - 7000 SoC啟動過程 527 22.2 Zynq - 7000 SoC啟動要求 527 22.2.1 供電要求 528 22.2.2 時鐘要求 528 22.2.3 復位要求 528 22.

2.4 模式引腳 528 22.3 Zynq - 7000 SoC內的BootROM 530 22.3.1 BootROM特性 530 22.3.2 BootROM頭部 531 22.3.3 啟動設備 535 22.3.4 BootROM多啟動和開機磁碟分割查找 538 22.3.5 調試狀態 539 22.3.6 BootROM後狀態 540 22.4 Zynq - 7000 SoC器件配置介面 543 22.4.1 描述功能 544 22.4.2 器件配置流程 545 22.4.3 配置PL 549 22.4.4 寄存器概述 550 22.5 生成SD卡鏡像檔並啟動 551 22.5.1

SD卡與XC7Z020介面設計 551 22.5.2 打開前面的設計工程 552 22.5.3 創建級啟動引導 553 22.5.4 創建SD卡啟動鏡像 553 22.5.5 從SD卡啟動引導系統 555 22.6 生成QSPI Flash鏡像並啟動 556 22.6.1 QSPI Flash介面 556 22.6.2 創建QSPI Flash鏡像 557 22.6.3 從QSPI Flash啟動引導系統 558 22.7 Cortex - A9雙核系統的配置和運行 558 22.7.1 構建雙核硬體系統工程 558 22.7.2 添加並互聯IP核 559 22.7.3 匯出硬體設計到SDK中

561 22.7.4 設置板級包支援路徑 561 22.7.5 建立FSBL應用工程 562 22.7.6 建立CPU0應用工程 562 22.7.7 建立CPU1板級支持包 566 22.7.8 建立CPU1應用工程 566 22.7.9 創建SD卡鏡像文件 570 22.7.10 雙核系統運行和測試 571 22.7.11 雙核系統的調試 571 第23章 Zynq - 7000 SoC內XADC原理及實現 574 23.1 ADC轉換器介面結構 574 23.2 ADC轉換器功能 575 23.2.1 XADC的命令格式 576 23.2.3 供電感測器報警 576 23.3 XAD

C IP核結構及信號 577 23.4 開發平臺上的XADC介面 578 23.5 在Zynq - 7000 SoC內構建數模混合系統 579 23.5.1 打開前面的設計工程 579 23.5.2 配置PS埠 579 23.5.3 添加並連接XADC IP到設計 580 23.5.4 查看位址空間 582 23.5.5 添加用戶約束檔 583 23.5.6 設計處理 583 23.6 使用SDK設計和實現應用工程 584 23.6.1 生成新的應用工程 584 23.6.2 導入應用程式 585 23.6.3 下載硬體位元流檔到FPGA 591 23.6.4 運行應用工程 591 第24章

Linux開發環境的構建 592 24.1 構建虛擬機器環境 592 24.2 安裝和啟動Ubuntu 14.04客戶機作業系統 595 24.2.1 新添加兩個磁片 595 24.2.2 設置CD/DVD(SATA) 596 24.2.3 安裝Ubuntu 14.04 597 24.2.4 更改Ubuntu 14.04作業系統啟動設備 600 24.2.5 啟動Ubuntu 14.04作業系統 600 24.2.6 添加搜索連結資源 600 24.3 安裝FTP工具 601 24.3.1 Windows作業系統下LeapFTP安裝 601 24.3.2 Ubuntu作業系統環境下FTP安裝

602 24.4 安裝和啟動SSH和GIT組件 603 24.4.1 安裝和啟動SSH組件 603 24.4.2 安裝和啟動GIT組件 604 24.5 安裝交叉編譯器環境 604 24.5.1 安裝32位支援工具包 604 24.5.2 安裝和設置SDK 2015.4工具 605 24.6 安裝和配置Qt集成開發工具 606 24.6.1 Qt集成開發工具功能 606 24.6.2 構建PC平臺Qt環境 607 24.6.3 構建Arm平臺Qt環境 613 第25章 構建Zynq - 7000 SoC內Ubuntu硬體運行環境 622 25.1 建立新的設計工程 622 25.2 添加I

P核路徑 623 25.3 構建硬體系統 623 25.3.1 添加和配置ZYNQ7 IP 624 25.3.2 添加和配置VDMA IP核 625 25.3.3 添加和配置AXI Display Controller IP核 626 25.3.4 添加和配置HDMI Transmitter IP核 627 25.3.5 添加和配置VGA IP核 627 25.3.6 連接用戶自訂IP核 627 25.3.7 添加和配置Processor System Reset IP核 630 25.3.8 連接系統剩餘部分 630 25.4 添加設計約束檔 632 25.5 匯出硬體檔 633 第26章

構建Zynq - 7000 SoC內Ubuntu軟體運行環境 635 26.1 u - boot原理及實現 635 26.1.1 下載u - boot源碼 635 26.1.2 u - boot檔結構 636 26.1.3 u - boot工作模式 637 26.1.4 u - boot啟動過程 637 26.1.5 編譯u - boot 650 26.1.6 連結指令檔結構 652 26.2 內核結構及編譯 654 26.2.1 內核結構 654 26.2.2 下載Linux內核源碼 655 26.2.3 內核版本 655 26.2.4 內核系統組態 655 26.2.5 Bootload

er 啟動過程 658 26.2.6 Linux內核啟動過程 660 26.2.7 編譯內核 662 26.3 設備樹原理及實現 662 26.3.1 設備樹概述 662 26.3.2 設備樹資料格式 663 26.3.3 設備樹的編譯 664 26.4 檔案系統原理及下載 664 26.5 生成Ubuntu啟動鏡像 665 26.5.1 生成FSBL檔 666 26.5.2 生成BOOT.bin開機檔案 666 26.5.3 製作SD卡 668 26.5.4 複製BOOT. bin文件 670 26.5.5 複製編譯後的內核檔 670 26.5.6 複製編譯後的設備樹檔 671 26.5.7

複製檔案系統 671 26.6 啟動Ubuntu作業系統 672 第27章 Linux環境下簡單字元設備驅動程式的開發 674 27.1 驅動程式的必要性 674 27.2 Linux作業系統下的設備檔案類型 675 27.3 Linux驅動的開發流程 676 27.4 驅動程式的結構框架 676 27.4.1 載入和卸載函數模組 676 27.4.2 字元設備中重要的資料結構和函數 677 27.5 編寫makefile檔 683 27.6 編譯驅動程式 684 27.7 編寫測試程式 685 27.8 運行測試程式 686 第28章 Linux環境下包含中斷機制驅動程式的開發 688

28.1 設計原理 688 28.2 編寫包含中斷處理的驅動代碼 688 28.2.1 驅動程式標頭檔 688 28.2.2 驅動的載入和卸載函數 689 28.2.3 file_operations初始化 691 28.3 編寫makefile檔 691 28.4 編譯驅動程式 692 28.5 測試驅動程式 693 第29章 Linux環境下影像處理系統的構建 694 29.1 系統整體架構和功能 694 29.2 OV5640攝像頭性能 695 29.2.1 攝像頭捕獲模組的硬體 696 29.2.2 SCCB介面規範 696 29.2.3 寫攝像頭模組寄存器操作 697 29.2.

4 讀攝像頭模組寄存器操作 698 29.2.5 攝像頭初始化流程 700 29.3 Vivado HLS實現拉普拉斯運算元濾波演算法的設計 701 29.3.1 Vivado HLS工具的性能和優勢 701 29.3.2 拉普拉斯演算法與HDL之間的映射 703 29.4 影像處理系統的整體構建 706 29.5 影像處理系統軟體的設計 708 29.5.1 Ubuntu桌面系統的構建 708 29.5.2 Qt影像處理程式的開發 708 29.6 內嵌影像處理系統測試 710 第30章 Zynq-7000 SoC上構建和實現Python應用 712 30.1 設計所需的硬體環境 712

30.2 構建PetaLinux開發環境 712 30.2.1 PetaLinx開發環境概述 712 30.2.2 安裝32位庫 714 30.2.3 安裝並測試tftp伺服器 714 30.2.4 下載並安裝PetaLinux 715 30.3 構建嵌入式系統硬體 717 30.3.1 下載並安裝Vivado 2018.2整合式開發環境 717 30.3.2 添加板級支援包檔 717 30.3.3 建立新的Vivado工程 717 30.3.4 構建硬體系統 718 30.4 構建嵌入式Python開發環境 721 30.5 構建PC端Python開發環境 723 30.6 伺服器和用戶端P

ython的開發 724 30.6.1 伺服器端Python的開發 725 30.6.2 用戶端Python的開發 726 30.7 設計驗證 728 30.7.1 啟動伺服器程式 728 30.7.2 啟動用戶端程式 729

以OTA設計之多功能可調電壓模式二階濾波器與振盪器

為了解決hp大圖輸出機的問題,作者林奕鈞 這樣論述:

本論文基於主動元件單輸出端運算轉導放大器設計兩個多功能可調整電壓模式二階濾波器與兩個電壓模式正交正弦振盪器。第一個多功能可調整電壓模式二階濾波器是由五個單輸出端運算轉導放大器和兩個接地電容所組成的,依據不同的輸入和輸出端可分為兩種模式:第一種為五輸入單輸出的方式,可以實現非反相全通、非反相低通、非反相高通、反相帶拒和非反相帶通等濾波器信號,第二種為單輸入三輸出的方式,可以實現非反相或反相的低通、帶通和帶拒等濾波器信號。第二個多功能可調整二階濾波器是由五個單輸出端運算轉導放大器和兩個接地電容所組成,為三輸入單輸出的模式,可以產生反相帶通、非反相帶拒、非反相低通、非反相高通和非反相全通等五種濾波

器信號。本文提出的濾波器架構,擁有高輸入阻抗端,利於電路串接。諧振角頻率和品質因數可以藉由調整電路中的轉導增益值做改變。修改上述提出的濾波電路的連接方式後,分別可以實現兩個電壓模式正交正弦振盪器電路。最後,使用商用LT1228 IC進行OrCAD PSpice模擬及實作的驗證,以確保所提出的電路之可行性。